数字电子技术基础教材第四章答案.docx

上传人:lao****ou 文档编号:435205 上传时间:2023-11-13 格式:DOCX 页数:15 大小:166.39KB
下载 相关 举报
数字电子技术基础教材第四章答案.docx_第1页
第1页 / 共15页
数字电子技术基础教材第四章答案.docx_第2页
第2页 / 共15页
数字电子技术基础教材第四章答案.docx_第3页
第3页 / 共15页
数字电子技术基础教材第四章答案.docx_第4页
第4页 / 共15页
数字电子技术基础教材第四章答案.docx_第5页
第5页 / 共15页
亲,该文档总共15页,到这儿已超出免费预览范围,如果喜欢就下载吧!
资源描述

《数字电子技术基础教材第四章答案.docx》由会员分享,可在线阅读,更多相关《数字电子技术基础教材第四章答案.docx(15页珍藏版)》请在第一文库网上搜索。

1、习题44-1分析图P41所示的各组合电路,写出输出函数表达式,列出真值表,说明电路的逻辑功能。解:图(a):Fi=AB;F2=AQB;F3=AB真值表如下表所示:ABF1尸2K000100100110100110101;AB时,6=1;A=B时,F2图(b):4=AB+AB;F2=AB真值表如下表所示:ABF1尸20000011010101101功能:一位半加器,6为本位和,工为进位。图(c):M=nM(0,3,5,6)=Zz(1,2,4,7)真值表如下表所示:ABCK外000000011001000011011001010I011100111111功能:一位全加器,E为本位和,工为本位向高位

2、的进位。图(d):F=ABxF2=AoBiF3=ABABF16居00010011001000111010功能:为一位对比器,AVB时,F1=1;A=B时,F2=I;AB时,Fi=42分析图P42所示的组合电路,写出输出函数表达式,列出真值表,指出该电路完成的逻辑功能。解:该电路的输出逻辑函数表达式为:因此该电路是一个四选一数据选择器,其真值表如下表所示:A4F0001再10无211七4-3图P4-3是一个受M控制的代码转换电路,当M=I时,完成4为二进制码至格雷码的转换;当M=O时,完成4为格雷码至二进制的转换。试分别写出打片,丫2,丫3的逻辑函数的表达式,并列出真值表,说明该电路的工作原理。

3、解:该电路的输入为与内与,输出为XhX不。真值表如下:占再1y2XnM=I0000000000010001001000110011001001000110010101110110010101100100M=O1000111110011110101011001011110111001000110110011110101111111010X=由此可得:当=1时,/X=X3X2K=W百X)=X1XO完成二进制至格雷码的转换。完成格雷码至二进制的转换。4-4图P44是一个多功能逻辑运算电路,图中S3,S2,*,So为控制输入端。试列表说明电路在S3,S2,S1,SO的各种取值组合下F与A,B的逻辑关系

4、。解:F=(S343+5243)啰63+508+4),功能如下表所示,S3S1SoFS3S1S。F0000A1000ABOOO1A+B1OO1A8OO1OA+B1O1OBOO1111O11ABO1OOAB11OOOO1O1B11O1ABO11OA,B111OA+BO111A+B1111A两个变量有四个最小项,最多可构造24种不同的组合,因此该电路是一个能产生十六种函数的多功能逻辑运算器电路。4-5某组合电路的输出波形如图P45所示,试用最少的或非门实现之。解:尸(ABC)=Xn(1,3,6,7)+X(0)=(A+C)+A+B电路图如下:4-6用逻辑门设计一个受光,声和触摸控制的电灯开关逻辑电路

5、,分别用A,B,C表示光,声和触摸信号,用F表示电灯。灯亮的条件是:无论有无光,声信号,只要有人触摸开关,灯就亮;当无人触摸开关时,只有当无关,有声音时灯才亮。试列出真值表,写出输出函数表达式,并画出最简逻辑电路图。解:根据题意,列出真值表如下:ABCFOOOOOO11O1O1O1111OOO1O1111OO1111I111由卡诺尸图翻它的逻辑表达式为:由此的到逻辑电路为:4-7用逻辑门设计一个多输出逻辑电路,输入为842IBCD码,输出为3个检测信号。要求:(1)当检测到输入数字能被4整除时,F1=U(2)当检测到输入数字大于或等于3时,F2=K(3)当检测到输入数字小于7时,F3=Io解:

6、f1(ABCD)=CD4-8用逻辑门设计一个两位二进制数的乘法器。电路图如以以以下图所示:&民BBo解:二进制乘法:设两个2位二进制数的乘法运算结果为:4(AA)x8(MBo)二A与4-9设计一个全加减)器,其输入为A,B,C和X(当X=O时,实现加法运算;当X=I时,实现减法运算),输出为S(表示和或差),P(表示进位或借位)。列出真值表,试用3个异或门利3个与非门实现该电路,画出逻辑电路图。解:根据全加器和全减器的原理,我们可以作出如下的真值表:XABCSP00000000011000101000110101001001010101100101111I1000001000111001111

7、01001111110110000110100111011由真值表可以画出卡诺图,由卡诺图得出逻辑表达式,并画出逻辑电路图:4-10设计一个交通灯故障检测电路,要求红,黄,绿三个灯仅有一个灯亮时,输出F=O;假设无灯亮或有两个以上的灯亮,则均为故障,输出F=1。试用最少的非门和与非门实现该电路。要求列出真值表,化简逻辑函数,并指出所有74系列器件的型号。解:根据题意,我们可以列出真值表如下:红(A)黄(B)绿(C)F00010010010001111000101111011111对上述的真值表可以作出卡诺图,由卡诺图我们可以得出以下的逻辑函数:逻辑电路图如下所示:4-11试用两片8线一3线优先

8、编码器741S148组成16线一4线优先编码器,画出逻辑电路图,说明其逻辑功能。解:逻辑电路图如下:功能:是一个164编码器。4-12(1)图P412为3个单译码逻辑门译码器,指出每个译码器的输出有效电平以及相应的输出二进制码,写出译码器的输出函数表达式。(2)试画出与以下表达式对应的单译码器逻辑电路图。亍=X44y=A无a%9=AAA4解:对于(a)图来说。7=A可可4(b)Y=A2AiA0(C)Y=AiA2W对于(1)逻辑电路图为:(2)逻辑电路图如以以以下图:(3)逻辑电路图如以以以下图:4-13试用一片38译码器和少量逻辑门设计以下多地址输入的译码电路。(1) 有8根地址输入线44,要

9、求当地址码为A8H,A9H,AFH时,译码器输出为匕匕分别被译中,且地电平有效。(2) 有10根地址输入线44,要求当地址码为2EOH,2E1H,2E7H时,译码器输出匕匕分别被译中,且地电平有效。解:当e4As=io,即444=111,儿4=0,&44从映111变化时工石分别被译中,电路如以以以下图所示:(2)当gE24E28=1O,即44&43=1111,444人3=000,4244从000111变化时,匕石分别被译中。电路如以以以下图所示:4-14试用一片38译码器741S138和少量的逻辑门实现以下多输出函数:(1) Fi=AB+ABC(2) F2=A+BC(3) F3=AB+AB解:

10、耳=7(0,6,7)二冠Z电路图如以以以下图所示:4-15某组合电路的输入X和输出Y均为三位二进制数。当X5时,Y=Oo试用一片38译码器和少量逻辑门实现该电路。解:由题意列出真值表如下:XY000001OO1001010100O11101100110IO1I11110(X)OI11000电路图如以以以下图所示:输入X=ABC输出为Y。4-16由38译码器741S138和逻辑门构成的组合逻辑电路图P416所示。(1) 试分别写出,K的最简与或表达式。(2) 试说明当输入变量A,B,C,D为何种取值时,F1=F2=KF1=0解:(1)当D=I时,4IF2=I止力MFI(A8,C)=W70+砥+砥

11、=ABC+ABC+ABCF2(AiB,C)=W4ZW6W7=(A+B+C)(A+B+C)(A+B+C)将K,F2分别填入四变量的卡诺图后可得:当ABCD=OOoo或O11o时,F1=F2=4-17逻辑函数尸(0,A,c,d)=Zm(1,3,7,9,15),试用一片38译码器741S138和少量逻辑门实现该电路。解:由题意的,F(tz,b,cyd)=n(1,3,7,9,15)=(ABC+ABC+ABC+ABC+ABC)D电路图如以以以下图所示:4-18某24译码器的逻辑符号和功能表如图P418所示。试用尽量少的译码器和或门实现以下函数(允许反变量输入):(1) F(W,X9Y9Z)=WXY+XYZ+WZ(2) G(W,X,Y,Z)WYZ+XY解:根据题意,输入分别为X,Y,W,Z0对于(1)来说,我们可以作出如下的逻辑电路图:(2)由题,我们可以得出如下的逻辑电路图:4-19由3-8译码器构成的脉冲分配器电路图如图P4-19所示。(1) 假设CP脉冲信号加在瓦端,试画出匕石的波形;(2) 假设CP脉冲信号加在E1端,试画出匕匕的波形。解:CP=I时,Y.=iCP=O时五匕按A2AA)的变化分别译码。波形如以以以下图所示:(2)cp=i时耳匕按4A4的变化分别译码;cp=o时,

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 应用文档 > 汇报材料

copyright@ 2008-2022 001doc.com网站版权所有   

经营许可证编号:宁ICP备2022001085号

本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有,必要时第一文库网拥有上传用户文档的转载和下载权。第一文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知第一文库网,我们立即给予删除!



客服