EDA复习要点全[共11页].docx

上传人:lao****ou 文档编号:85306 上传时间:2023-02-20 格式:DOCX 页数:14 大小:124.87KB
下载 相关 举报
EDA复习要点全[共11页].docx_第1页
第1页 / 共14页
EDA复习要点全[共11页].docx_第2页
第2页 / 共14页
EDA复习要点全[共11页].docx_第3页
第3页 / 共14页
EDA复习要点全[共11页].docx_第4页
第4页 / 共14页
EDA复习要点全[共11页].docx_第5页
第5页 / 共14页
亲,该文档总共14页,到这儿已超出免费预览范围,如果喜欢就下载吧!
资源描述

《EDA复习要点全[共11页].docx》由会员分享,可在线阅读,更多相关《EDA复习要点全[共11页].docx(14页珍藏版)》请在第一文库网上搜索。

1、主要知识点1、从执行方式看VHDL的描述语句包括那些描述语句?用VHDL语言进行设计时,按描述语句的执行顺序进行分类,可将VHDL语句分为顺序执行语句(Sequential)和并行执行语句(Parallel)o2、目前流行的硬件描述语言有那些?常用的硬件描述语言有ABEL-HDL AHDL. VHDL和Verilog-HDL.而VHDL和Verilog-HDL是当前最流行的并成为IEEE标准的硬件描述语言。3、 MAX+PLUS2中各种文件的扩展名有哪些?*. vhd *. sym *. gdf *. scf4、 基于MAX+PLUS2的设计流程设计输入、编译处理、验证(包括功能仿真、时序仿真

2、、和定时分析)和器件编程5、目前较流行的EDA设计软件有那些?ALTERA 公司:MAX+PLUS IIQUARTUS 11(全新的EDA软件,正在逐步替代MAX+PLUS)LATTICE 莱迪思公司:isp EXPERT SYSTEMisp DesignExpert SYSTEMXILINX 西林公司:FOUNDATIONISE (全新的EDA软件,正在逐步替代FOUNDATION)6、可编程逻辑器件的分类?按照变成工艺分哪些类?SPLD简单可编程逻辑器件CPLD复杂可编程逻辑器件FPGA现场可编程门阵列ISP在系统(线)可编程逻辑器件按编程工艺分为:熔丝开关(一次可编程,要求大电流)可编程

3、低阻电路元件(多次编程,要求中电压)EPROM型(紫外线擦除电可编程逻辑器件)E PROM型(电可擦写编程器件)基于SRAM的编程元件7、 VHDL程序设计中常用的库有那些?哪些库是显式(默认打开的)的,哪些是隐式的? P159VIIDL程序设计的常用库:IEEE库、STD库、WORK库、VITAL库、用户定义库。显示库:IEEE库用户定义库VITAL库隐式库:、STD库、MORK库8、程序包由那两部分组成?分别有什么作用? P161程序包由两部分组成:程序包首和程序包体,程序包首为程序包定义接口,声明包中的类型、元件、函数和子程序。程序包体规定程序包的实际功能,存放说明中的函数和子程序。9、

4、常用的预定义程序包有哪些?如何调用? P163常用的预定义的程序包:STD_LOGIC164程序包、STD LOGIC ARITH 程序包、STD LOGIC UNSIGNED 和STD_LOGIC_SIGNED 程序包、STANDARD 和 TEXT 10 程序包。10、目前国际上较大的EDA器件制造公司有那些?ALTERA公司、LATTICE莱迪思公司、XILINX西林公司11、VHDL常用的预定义数据类型有哪儿种,分别在哪些程序包中?如何调用?答:布尔(BOOLEAN)数据类型,位(BIT)数据类型,位矢量(BIT_VECTOR)数据类型,字符(CHARACTER)数据类型。12、数据类

5、型的转换有哪几种方法? P114函数转换法、类型标记转换法和常数转换法。13、可以构成标识符的字符有?有效的字符:(1)包括26个大小写英文字母,数字09以及下划线(2)任何标识符必须以英文字母开头。(3)必须是单一下划线且其前后都必须有英文字母或数字。(3)标识符中的英文字母不分大小写。(4)允许包含图形符号(如回车符、换行符等),也允许包含空格符。(5) VHDL的保留字不能用于作为标识符使用。14、可编程器件(PLD)分为哪两类?答:根据编程特性分为一次编程和重复编程两类15、标准逻辑位数据类型常用的数值有哪几种?一未初始化的,X,一强未知的,0一强0, T一强1, Z一高阻态,旷一弱未

6、知的,L弱0, H弱1,一忽略。16、完整的条件语句将产生什么电路,不完整的条件语句将产生什么电路?完整的条件语句将产生组合电路,不完整的条件语句将产生时序电路17、信号和变量有什么区别? P121(1)信号赋值至少有S延时,而变量赋值没有延时。(2)信号除当前值外有许多相关的信息,而变量只有当前值。(3)进程对信号敏感而对变量不敏感。(4)信号可以是多个进程的全局信号;而变量只在定义它们的顺序域可见(共享变量除外)。(5)信号是硬件中连线的抽象描述回I,的功能是保存变化的数据和连接了元件,信号在元件的端口连接元件。变量在硬件中没有类似的对应关系,它们用于硬件特性的高层次建模所需要的计算中。(

7、6)信号赋值和变量赋值分别使用不同的赋值符号“二”和“:二”,信号类型和变量类型可以完全一致,也允许两者之间相互赋值,但要保证两者的类型相同。18、VHDL作为工业标准,是由那个机构制定并公布的。IEEE19、实体部分的端口模式有四个类型。OUT 单向输出端口IN 单向输入端口INOUT输入输出双向端口BUFFER反馈式双向端口20、从执行方式看VHDL的基本描述语句包括哪两大基本描述语句?顺序语句并行语句21、VHDL文件存盘时,其主文件名应与实体名一致,扩展名应为什么呢?.VHD22、硬件描述语言(HDL)的种类很多?ABEL-HDL, AHDL, VHDL, Verilog-HDL.23

8、、EDA技术的含义。EDA技术就是以计算机为工作平台、以EDA软件工具为开发环境、以硬件描述语言为设计语言、以ASIC(ApplicationSpecific Integrated Circuits)为实现载体的电子产品自动化设计的过程24、目前较流行的集成EDA开发环境(软件)有那些?同第5题25、简述EDA技术的CPLD/FPGA的设计流程。26、写出实体中的PORT语句结构并说明其作用。实体端口说明的一般书写格式如下:PORT(端口名:端口模式数据类型;端口名:端口模式数据类型);作用:由PORT语句引导的端口说明语句是对一个设计实体界面的说明。端口为设计实体和外部环境的动态通信提供通道

9、。27、简述EDA技术经历了那几个发展阶段。1) . CAD (计算机辅助设计)阶段2) . CAE(计算机辅助工程)阶段3) . ESDA (电子系统设计自动化)阶段28、写出元件例化语句语句格式,并说明其作用。元件例化语句由两部分组成,第一部分是对一个现成的设计实体定义为一个元件,语句的功能是对待调用的元件作出调用声明,它的最简表达式如下所示:COMPONENT 元件名 ISPORT (端口名表);END COMPONENT 文件名;元件例化语句的第二部分则是此元件与当前设计实体(顶层文件)中元件间及端口的连接说明。语句的表达式如下:例化名:元件名PORT MAP(端口名=连接端口名,.)

10、;29、 试比较图形输入法和文本输入法有何优缺点?30、结构体的语言格式与作用。ARCHITECTURE结构体名0F实体名IS(说明语句)用来说明和定义数据对象,类型等,可省略BEGIN(功能描述语句)用来描述内部电路功能的,不可省略END ARCHITECTURE 结构体名:结构体用来描述设计实体的结构或行为,即描述一个实体的功能,把设计实体的输入和输出之间的联系建立起来。31、写出PROCESS语句结构的一般表达格式?PROCESS语句格式PROCESS语句的表达格式如下:进程标号:PROCESS (敏感信号参数表)1S进程说明部分BEGIN顺序描述语句END PROCESS 进程标号;3

11、2、进程语句的设计(或使用)要点? P140(1)虽然同一结构体中的进程之间是并行运行的,但同一进程中的逻辑描述语句则是顺序运行的,因而在进程中只能设放置顺序语句。(2)进程的激活必须由敏感信号表中定义的任一敏感信号的变化来启动,否则必须有一显式的WAIT语句来激活。(3)结构体中多个进程之所以能并行同步运行,一个很重要的原因是进程之间的通信是通过传递信号和共享变量值来实现的。(4)进程是重要的建模工具。进程结构不但为综合器所支持,而且进程的建模方式将直接影响仿真和综合结果。33、并行信号赋值语句有哪几种?其语句格式为何?1)简单信号赋值语句 赋值目标*表达式;2)条件信号赋值语句赋值目标二表

12、达式1 WHEN赋值条件1 ELSE表达式2 WHEN赋值条件2 ELSE 表达式n;3)选择信号赋值语句的语句格式如下:WITH选择表达式SELECT赋值目标信号二表达式1 WHEN选择值1,表达式2 WHEN选择值2, 表达式n WHEN选择值n;34、EDA技术常用的输入方法有?原理图输入HDL文本输入他设计输入的三种形式:原理图输入,状态输入,波形输入35、什么是实体和结构体,其功能是什么?实体是一个设计实体的表层设计单元,其功能是对这个设计体与外部电路进行接口描述。它规定了设计单元的输入输出接口信号或引脚,是设计实体经封装后对外界的一个通信界面。结构体用来描述设计实体的结构或行为,即

13、描述一个实体的功能,把设计实体的输入和输出之间的联系建立起来。36、MAX+pulslI的原理图输入法、文本输入法、波形输入法生成的文件扩展名为?原理图输入法生成的文件扩展名为*. gdf文本输入法生成的文件扩展名为*. vhd波形输入法生成的文件扩展名为*. scf37、VHDL的操作符有那几大类?每一类的操作符分别是什么?每一类操作符可以对那些数据进行操作(运算)?见最后的图38、VHDL操作符的优先级?最后的图39、结构体常见的功能语句有那些?信号(SIGNA)、数据类型(TYPE)、常数(CONSTANT)、元件(COMPONENT) 函数(FUNCTION)和过程(PROCEDURE

14、)等加以说明的语句。40、子程序分为那两类,其结构为什么。P152-153子程序包括过程和函数,包括过程(可单独存在,多个返回值,有输入/出、双向参数,一般看做一种语句结构)和函数(作为语句的一部分调用,一个返回值,所有参数都是输入参数,看作表达式的一部分),可在VHDL的结构体或程序包中任何位置调用子程序。41、VHDL的标识符由什么构成。.同13题42、CASE语句的一般形式?在使用当中的注意事项。P125T26CASE语句的一般形式为:CASE表达式ISWHEN值1=语句A;WHEN值2=语句B; WHEN OTHERS二语句 C;END CASE注意事项:(1) WHEN条件句中的选择值或标识符所代表的值必须在表达式的取值范围内O2)除非所有条件句中的选择值能完整覆盖CASE语句中表达式的取值,否则最后一个条件句中的选择必须用关键词OTHERS表示以上已列的所有条件句中未能列出的其它可能的取值。(3) CASE语句中的选择值只能出现一次,不允许有相同选择值的条件语句出现。(4) CASE语句执行中必须选中,且只能选中所列条件语句中的一条。43、试着比较IF和CASE语句的差异? P127与IF语句相比,CASE语句组的程序可读性比较好,这是因为它把条件中所有可能出现的情全部列出来了,可执行条件比较清晰。而且CASE程序的执行过程不像IF语句中那样有一个逐项条件条

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 应用文档 > 汇报材料

copyright@ 2008-2022 001doc.com网站版权所有   

经营许可证编号:宁ICP备2022001085号

本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有,必要时第一文库网拥有上传用户文档的转载和下载权。第一文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知第一文库网,我们立即给予删除!



客服