基于FPGA和MCU的测量系统可自动测量RLC的多项基本参数.docx

上传人:lao****ou 文档编号:841691 上传时间:2024-06-17 格式:DOCX 页数:6 大小:148.82KB
下载 相关 举报
基于FPGA和MCU的测量系统可自动测量RLC的多项基本参数.docx_第1页
第1页 / 共6页
基于FPGA和MCU的测量系统可自动测量RLC的多项基本参数.docx_第2页
第2页 / 共6页
基于FPGA和MCU的测量系统可自动测量RLC的多项基本参数.docx_第3页
第3页 / 共6页
基于FPGA和MCU的测量系统可自动测量RLC的多项基本参数.docx_第4页
第4页 / 共6页
基于FPGA和MCU的测量系统可自动测量RLC的多项基本参数.docx_第5页
第5页 / 共6页
亲,该文档总共6页,到这儿已超出免费预览范围,如果喜欢就下载吧!
资源描述

《基于FPGA和MCU的测量系统可自动测量RLC的多项基本参数.docx》由会员分享,可在线阅读,更多相关《基于FPGA和MCU的测量系统可自动测量RLC的多项基本参数.docx(6页珍藏版)》请在第一文库网上搜索。

1、基于FPGA和MCU的测量系统,可自动测量R1C的多项基本参数为了方便用户准确掌握手中R1C(电阻电容电感)的各项参数,笔者提供了一种采用FPGA和MCU的测量系统,可自动测量R1C的多项基本参数,系统以液晶屏显示测量结果,并可根据需要打印测量的结果,与现有的昂贵测试仪相比,该测量系统功能精简、操作智能化、人机接口友好。1方案设计与论证为满足高校实验室教学需要,设计一个低成本R1C电参数测量系统,本系统采用基于C8051F020单片机的可编程控制系统方案,单片机生成各种波形的数据表传输给FPGA,使用D1)FS技术使FPGA生成所需要的波形并进行输出,通过DA转换模块,将数字信号转换成模拟信号

2、,通过程控放大器AD603,由单片机控制D603进行增益的调整,再经过NE5532构成的调理电路对其进行电压放大2。产生高精度的正弦波信号流经待测的电阻、电容或者电感和标准电阻的串连电路,通过测量电容或者电感和标准电阻各自的电压,利用电压比例计算的方法推算出电容值或者电感值,利用C8051F020单片机控制测量和计算结果,运用自校准电路提高测量精度,采用液晶模块实时显示数值。系统总体组成框图如图1所示。图1系统总框图C1Ii1.1DDS简介直接数字频率合成(DDS)技术是通过累加相位来合成所需波形的频率合成技术。利用DDS设计的波形发生器具有精度高、稳定性好、频率和波形易于控制等优点10DDS

3、主要由参考时钟、相位累加器、波形存储器、数模转换器和滤波器组成,近年来在频率合成、通信、仪器测量领域均有广泛地应用。1.2信号产生模块要求设计的正弦波信号发生器的频率范围较宽,其频率范围是IHZ1MHz,且可以对频率进行设置,还有频率步进调节的功能,一般传统的1C谐振回路或无源RC结合运放的方式很难达到这一要求,所以我们提出利用DDS原理使用FPGA实现的解决方案。用FPGA实现波形信号的输出,只需要在FPGA内部建立一个波形数据表,然后在时钟的驱动下,读取数据表中的数据,经过高速DA的转化,即可得出需要的波形。要输出不同的波形,改变波形数据表中的内容即可实现。若要实现频率的设置和步进,只需要

4、给FPGA相应的数据关键字即可5oo采用FPGA中DDFS技术,对于不同波形,可建立不同存储表即可输出相应的波形,也可由外部输入波形表来完成任意波形的输出。频率范围高、精度高,方便与其它设备接旦。FPGA中实现波形输出原理图如图2所示。频率控制字相他累加器图2FPGA中实现波形输原理旗图1.3控制器方案选择C8051F020是集模拟和数字信号为一体的混合信号系统级高速单片机,他采用贴片封装,体积小、运算速度快。具有与MCS-51指令集完全兼容的CIP-51内核,具有所有8052外设器件,采用流水线指令结构,除此之外,C8051F020单片机具有丰富的I/O,具有高达20个中断源,而且具有丰富的

5、外设:UARTSPKADC定时器等。该单片机具有高达128k的F1ASH和8k+256的RAM,无需外部扩展便可实现高速度运算和掉电存储,并具有JTAG接口,便于在线调试,非常适合于各种工业控制和仪表的使用。1.4信号调理模块增益可调的AD603的放大倍数可以通过单片机调节,由微控制器来判断当前信号幅度的大小,经过一定的运算处理后给出一一对应的数字量控制信号,然后经过DA转换输出一个对应的模拟量来控制放大电路的增益,可以输出电压的连续变化。NE5532可实现固定放大倍数放大H51。AD603通过软件控制输出,使之输出电压连续变化通过调节反馈曳位番可实现输出幅度不小于5V,信号调理电路如图3所示

6、。利用DS12887作为时钟显示模块。DS12887自带晶体振维和电池,连接方便。通过修改其内部控制寄存器可以方便的加以控制仇)。DS12887内部自带114字节通用RAM,因此可以通过它实现掉电存储功能。2理论分析与计算电阻高精度测量最好的方法之一是采用与标准电阻相比较的方法。原理是在待测电阻尺与标准电阻R1的串联电路中加以电流人再根据民与此上得到的电压降匕与标准电阻上的压降匕,则测量电阻为:,=1(W1)本设计方案中采用与测电阻相同的方法来测量电容与电感。由于电容和电感属于电抗元件,因此不能采用直流来产生测量信号,而只能采用交流信号,在角频率为3的交流信号的作用下,电容与电感获得的电压分别

7、为:UJ=武%=j*式中C和。一待测电容和待测电感。为提高测量精度降低成本,本设计采用了标准电阻,将待测器件与标准电阻串联后,标准电阻获得的电压为:Uy/1t根据电压比例法,经过计算可得:C%1式中U31.u%Wc.玄海模值G3程序设计3.1软件实现方法本测量系统软件部分有以下几个模块:键盘控制模块、显示模块、计算及量程控制模块、数据存储模块、时钟模块、频率控制模块、打印与语音播报控制模块。软件控制由C8051F020单片机实现。单片机完成对FPGA、AD603、NE5532的控制和人机交互。波形数据和频率控制字K由串口写入FPGA,增益控制由单片机的DA接口输出到AD603的控制端,电压输出

8、控制由单片机输出控制数字电位器来控制NE5532的输出。3.2单片机软件设计流程图单片机担任着整个系统的控制任务,不仅要控制FPGA产生固定频率的波形,还要控制AD603的增益,对于电压放大模块中,要对数字电位器进行控制,反馈中的结果还要输入到FPGA中进行处理。单片机软件流程如图4所示。1功选用图4程序总流程用4系统测试硬件系统测试:焊接硬件电路后,分模块进行测试,每个模块焊接完成后,测试通断,判断电路是否存在短路、虚焊,硬件部分全部焊接完毕后,采用自制电遮给硬件电路供电,通过测量AD637输出,计算出待测元件的参数,对比1CR数字电桥测量值进行硬件电路的优化。软件系统测试:采用自下而上调试

9、的方法,先对每个编程模块进行测试,最后组合每个模块的功能进行软件部分整体测试。整体功能测试:通过加被测元件,通过按键实现被测元件信息的输入,对比液晶显示和1CR数字电桥WY2812A测量值观察效果。并检查语音功能与液晶显不的一致性。结果见表1表3o表1电容检测真实值测量值精度IOM10.010F0.001004.7F4.685F0.03191IoonMIO1ZOOnF0.01200100p,F105.IOOpFZio51(K)表2电感检测其实值测量值精度IOOmH97.91mH0.0209IOmH10.21mH0.0210ImH0.9604mH0.0396IOuH10.31H0.0310表3电阻检测真实值测低值精度10099.920.000810998.2On0.18IookCIo11Okno.m0入02571OoOkn974.30k5结束语笔者设计并实现了一种基于FPGA与单片机的电参数测量系统,给出了主要硬件功能电路和控制软件的设计过程,制作了实验样机并对实验样机进行了测试。系统产生最高频率可达IMHz,且步进可以设定为IHZ和10Hz的正弦波。实验结果表明该测量系统具有价格低廉、效率高、适应性强、可靠性好等优点,可以用作实验室中低成本电参数测量系统。

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 应用文档 > 工作总结

copyright@ 2008-2022 001doc.com网站版权所有   

经营许可证编号:宁ICP备2022001085号

本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有,必要时第一文库网拥有上传用户文档的转载和下载权。第一文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知第一文库网,我们立即给予删除!



客服