Kickstarter众筹:超低价Xilinx Spartan6入门开发套件.docx

上传人:lao****ou 文档编号:834462 上传时间:2024-06-12 格式:DOCX 页数:3 大小:41.80KB
下载 相关 举报
Kickstarter众筹:超低价Xilinx Spartan6入门开发套件.docx_第1页
第1页 / 共3页
Kickstarter众筹:超低价Xilinx Spartan6入门开发套件.docx_第2页
第2页 / 共3页
Kickstarter众筹:超低价Xilinx Spartan6入门开发套件.docx_第3页
第3页 / 共3页
亲,该文档总共3页,全部预览完了,如果喜欢就下载吧!
资源描述

《Kickstarter众筹:超低价Xilinx Spartan6入门开发套件.docx》由会员分享,可在线阅读,更多相关《Kickstarter众筹:超低价Xilinx Spartan6入门开发套件.docx(3页珍藏版)》请在第一文库网上搜索。

1、KiCkStartCr众筹:超低价XiIinXSPartan6入门开发套件想学习FPGA,VeriIog/VHD1?现在你的福利来了!如果你正在寻找一款适合初学者的FPGA开发板,一款采用XiIinXSPartan6芯片的开发套件Spartixed正在Kickstarter上进行众筹,现在价格仅为29美元,与一个树莓派开发板价格相当,可以帮助你学习Veri1Og/VHD1硬件编程语言,了解FPGA开发流程等,下面我们就来详细介绍一下这款精致的FPGA开发板。图1SpartixedFPGA开发套件这款SPartiXedFPGA开发板卡采用Xi1inXSpartan61X4T44Pin芯片,逻辑资

2、源38401UT,内部存储空间216Kb,DSPS1iCeS为8个,我觉得对于初学者学习基本编程以及外设控制是足够了,可以直接采用DC12V或者5VUSB转串口接口给这块板卡供电,同时它还提供了丰富的外设资源,帮助初学者打好基础。7SegmentDisp1ayAD1.2Vregu1ator,JAD3.3VRegu1atorP12303USBtoUARTtSpartixedB1ockDiagram图2Spartixed系统设计框架详细外设资源列表如下:3个数7段数码管和3个按键开关8个滑动开关和USB转串口接口I2CEEPROM和SP1EEPROM(可以学习I2C和SPI总线)1个ADC转换器和

3、2个通用1ED,以及电源指示1ED和编程配置完成1ED16MbF1ash当然只有块板子对于初学者还是不够的,设计者同时还提供了丰富的编程示例(见下文链接),并且正在不断更新丰富。最后介绍下众筹情况,设计者目标众筹资金35000美元,现在是653美元,才刚刚开始,还剩下45天时间,众筹分为三种类型:1. 捐赠任意资金,表示支持2. 29美元,包含Spartixed开发板一块以及丰富的开发示例3. 34美元,不仅包含以上内容还提供DC12V电源适配器目前设计者正在做一些调试和优化修改,同时丰富开发DemO,并保证所有众筹订单都会在2016年2月至3月发出。链接:Spartixed众筹网址:https:/www.kickstarter,com/projects/1728237598/spartixed-fpga-board-to-Spartixed开发Demo以源码:

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 应用文档 > 工作总结

copyright@ 2008-2022 001doc.com网站版权所有   

经营许可证编号:宁ICP备2022001085号

本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有,必要时第一文库网拥有上传用户文档的转载和下载权。第一文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知第一文库网,我们立即给予删除!



客服